GFT2009_2010
Would you like to react to this message? Create an account in a few clicks or log in to continue.

DAC -ADC --->FPGA-VHDL -SPARTAN 3E - Xilinx

+19
amarece406
yelito004
rehab.hemdan
aparna
pankaj_151988
Akshay Kumar
duytan411
hany_khedr
ssimpson
mahendra.p12
ivandrago21
artachan
kalaria_krushit
shobhit
moulin279
mustafa khalid
viduka
vagusss
abed.oubari
23 posters

Page 2 of 2 Previous  1, 2

Go down

DAC -ADC --->FPGA-VHDL -SPARTAN 3E - Xilinx - Page 2 Empty Re: DAC -ADC --->FPGA-VHDL -SPARTAN 3E - Xilinx

Post  abed.oubari Fri Mar 08, 2013 3:42 am

artachan wrote:Dear mr. oubari. may i get the whole project of adc spartan 3 e for my last bachelor project ar artachan@gmail.com. thx u for replied

best regards

arta


please download it from here:
https://rapidshare.com/files/2030142705/DAC_VHDL.rar
abed.oubari
abed.oubari
Admin

Posts : 77
Join date : 2010-02-25
Age : 45
Location : Morocco

https://gft2009.forumactif.com

Back to top Go down

DAC -ADC --->FPGA-VHDL -SPARTAN 3E - Xilinx - Page 2 Empty Re: DAC -ADC --->FPGA-VHDL -SPARTAN 3E - Xilinx

Post  aparna Fri Mar 08, 2013 10:53 am

please send the full workin code to my email address also..urgent!!

aparna

Posts : 1
Join date : 2013-03-08

Back to top Go down

DAC -ADC --->FPGA-VHDL -SPARTAN 3E - Xilinx - Page 2 Empty DAC -ADC --->FPGA-VHDL -SPARTAN 3E - Xilinx

Post  rehab.hemdan Sun Mar 31, 2013 6:49 am

Hi OUBARI

thanks for sending your project

I try to use only ADC-DAC from your code

but I can not understand how this states work
when S18 => Dac_CS <= '0'; -- LOOP: set Data
SPI_SCK <= '0';
SPI_MOSI <= sData(31);
i := i + 1;
if (i>1) then
bitnr := bitnr +1;
sData <= sData (30 downto 0) & '0';
i := 0;
sDacState <= S19;
end if;

when S19 => SPI_SCK <= '1';
i := i + 1;
if (i>1) then
if (bitnr < Cool then -- Set Clock
i := 0;
sDacState <= S18;
else
i := 0;
sDacState <= S20;
end if;
end if;

when S20 => AMP_CS <= '1'; -- OK
SPI_SCK <= '0';
i := i + 1;
if (i>1) then
i := 0;
sDacState <= S21;
end if;

where i will be never i>1




rehab.hemdan

Posts : 2
Join date : 2013-03-30

Back to top Go down

DAC -ADC --->FPGA-VHDL -SPARTAN 3E - Xilinx - Page 2 Empty thank you for your code

Post  yelito004 Wed Apr 03, 2013 1:04 pm


Hello,


Could you please send me your whole working project on tlatel.yelito[at]gmail.com ?

i'll try to use only the DAC part of it.

thank u very much

yelito004

Posts : 1
Join date : 2013-04-03

Back to top Go down

DAC -ADC --->FPGA-VHDL -SPARTAN 3E - Xilinx - Page 2 Empty Re: DAC -ADC --->FPGA-VHDL -SPARTAN 3E - Xilinx

Post  rehab.hemdan Wed Apr 03, 2013 1:43 pm

yelito004 wrote:
Hello,


Could you please send me your whole working project on tlatel.yelito[at]gmail.com ?

i'll try to use only the DAC part of it.

thank u very much

---------------------------

I send you the code

rehab.hemdan

Posts : 2
Join date : 2013-03-30

Back to top Go down

DAC -ADC --->FPGA-VHDL -SPARTAN 3E - Xilinx - Page 2 Empty Please donwnload it from here!!!

Post  abed.oubari Thu Apr 11, 2013 4:52 am

rehab.hemdan wrote:
yelito004 wrote:
Hello,


Could you please send me your whole working project on tlatel.yelito[at]gmail.com ?

i'll try to use only the DAC part of it.

thank u very much

---------------------------

I send you the code


rapidshare.com rapidshare.comDAC_VHDL.rar
abed.oubari
abed.oubari
Admin

Posts : 77
Join date : 2010-02-25
Age : 45
Location : Morocco

https://gft2009.forumactif.com

Back to top Go down

DAC -ADC --->FPGA-VHDL -SPARTAN 3E - Xilinx - Page 2 Empty download full ADC-DAC code

Post  abed.oubari Tue Apr 23, 2013 9:29 am

download it from here:



https://rapidshare.com/files/2030142705/DAC_VHDL.rar
abed.oubari
abed.oubari
Admin

Posts : 77
Join date : 2010-02-25
Age : 45
Location : Morocco

https://gft2009.forumactif.com

Back to top Go down

DAC -ADC --->FPGA-VHDL -SPARTAN 3E - Xilinx - Page 2 Empty Download full DAC ADC code ...!

Post  abed.oubari Tue Apr 23, 2013 9:30 am

Download it from here:



https://rapidshare.com/files/2030142705/DAC_VHDL.rar
abed.oubari
abed.oubari
Admin

Posts : 77
Join date : 2010-02-25
Age : 45
Location : Morocco

https://gft2009.forumactif.com

Back to top Go down

DAC -ADC --->FPGA-VHDL -SPARTAN 3E - Xilinx - Page 2 Empty PLEASE HELP IN INTERFACING ADC TO SPARTAN 3E FPGA

Post  amarece406 Tue Jun 04, 2013 4:42 am

HELLO ADMIN,
I integrated the VHDL codes of both onboard ADC and DAC interface to spartan 3E FPGA. its working for a DC signal but not for an AC (sinusoidal )signal. for an AC input signal the output is coming with a frequency less than the input applied even for a triangular and square wave. can anyone solve this problem pls reply me


Last edited by amarece406 on Sun Jun 09, 2013 10:39 pm; edited 1 time in total (Reason for editing : i had done 80% of the work i'm struck with few problems)

amarece406

Posts : 1
Join date : 2013-06-04

Back to top Go down

DAC -ADC --->FPGA-VHDL -SPARTAN 3E - Xilinx - Page 2 Empty Re: DAC -ADC --->FPGA-VHDL -SPARTAN 3E - Xilinx

Post  jhonweslybangun Fri Jun 07, 2013 2:22 am

can you sent me your whole project to jhonweslyb[at]gmail.com
thank you

jhonweslybangun

Posts : 1
Join date : 2013-06-07

Back to top Go down

DAC -ADC --->FPGA-VHDL -SPARTAN 3E - Xilinx - Page 2 Empty hii

Post  rose_spartan Mon Jun 17, 2013 4:37 am

please i need the code with the package, i need the ADC part, i already wrote the LCD display model but i have a problem with ADC code.
 my e-mail is (nona71183 ( at )yahoo.com)
thank u in advanced

rose_spartan

Posts : 1
Join date : 2013-06-17

Back to top Go down

DAC -ADC --->FPGA-VHDL -SPARTAN 3E - Xilinx - Page 2 Empty sir ,me too send the adc dac code entire project file to mail.mail id:sabariharish3@gmail.com

Post  sabariharish Sun Apr 06, 2014 7:17 am

abed.oubari wrote:I sent the hole program to you please check you email....!

sabariharish

Posts : 1
Join date : 2014-04-06

Back to top Go down

DAC -ADC --->FPGA-VHDL -SPARTAN 3E - Xilinx - Page 2 Empty Re: DAC -ADC --->FPGA-VHDL -SPARTAN 3E - Xilinx

Post  HARISH BANDARU Mon Nov 17, 2014 8:46 pm

hey my friend, i am trying hard to complete my project  Neutral can i get code for "data transfer between two spartan 3E kits using xilinx software" you can mail to harishbandaru93 its an gmail account. please Sad

HARISH BANDARU

Posts : 1
Join date : 2014-11-17

Back to top Go down

DAC -ADC --->FPGA-VHDL -SPARTAN 3E - Xilinx - Page 2 Empty Re: DAC -ADC --->FPGA-VHDL -SPARTAN 3E - Xilinx

Post  Sponsored content


Sponsored content


Back to top Go down

Page 2 of 2 Previous  1, 2

Back to top

- Similar topics

 
Permissions in this forum:
You cannot reply to topics in this forum